Nhập từ khóa để tìm kiếm

Khắc phục lỗi "KHÔNG NHÌN THẤY PHÂN VÙNG BOOTCAMP"

Lỗi không nhìn thấy được phân vùng BOOTCAMP là do ==> khi xài Win xong shutdown ==> Windows nó tắt nhưng mà vẫn lưu một file để giúp cho việc mở lại nhanh lên hơn..

XEM THÊM...

Sưu tầm - Chuyện một cặp đôi âu yếm trên xe buýt

Image result for cặp đôi trên xe buyt
(nguồn ảnh: ringring.vn)

Xã hội giờ đáng sợ thật... 
Lúc sáng em đi xe buýt chính mắt nhìn thấy một cặp đôi ngồi ngay ghế đằng trước, ôm nhau rất tình cảm, mặc kệ ai nhìn cũng không e ngại, họ ôm hôn các thứ.


XEM THÊM...

[CHIA SẺ FILE] - Phần mềm diệt tận gốc Antimalware Gridin Altimalware - FULL CRACK

Image result for gridinsoft anti malware
Source: https://downmienphi.com/windows/download-gridinsoft-anti-malware.191.html

Link file: http://corneey.com/q96Kfm
(Bấm vô link chờ 5 giây rồi bấm vô nút SKIP THIS AD ở góc trên bên phải)








XEM THÊM...

Sưu tầm - Chuyện 3 đứa con trai và 3 đứa con gái



Tôi có 3 đứa con, nhưng buồn thay, toàn là con gái! Còn cái nhà hàng xóm - cách nhà tôi mỗi cái dậu mồng tơi - cũng có 3 đứa, mà lại toàn con trai. Thật mỉa mai!
Biết tôi không có con trai, tôi buồn là vậy, thế mà cái lão hàng xóm vô tâm thi thoảng lại trêu ngươi, cho 3 thằng con lão xếp hàng đái vào dậu mồng tơi, chĩa thẳng chim sang nhà tôi. Chúng đái vào dậu mồng tơi mà như đang đái vào lòng tôi vậy: xót xa vô cùng! Hình ảnh cái "dậu mồng tơi xanh rờn" đã trở nên quá đỗi quen thuộc trong thơ, trong nhạc, nhưng cái dậu mồng tơi giữa nhà tôi và nhà hàng xóm đó thì là "dậu mồng tơi đen sì" do bị tưới quá nhiều đạm, phốt pho, kali và khoáng chất đậm đặc.
XEM THÊM...

Một số lỗi trong VHDL (phần mềm Quartus)



Error (10346): VHDL error at XXXXXXXXXX.vhd(24): formal port or parameter "SIZE" must have actual or default value
==> Chuyển đổi các kiểu dữ liệu bị thiếu đối số
Ví dụ: 
to_unsigned(30); là sai, phải viết là
to_unsigned(30, 5);

-----------------------------------------------------------------

Error (10621): VHDL Use Clause error at XXXXXXXXXX.vhd(19): more than one Use Clause imports a declaration of simple name "unsigned" -- none of the declarations are directly visible
==> Khai báo thư viện dư
Ví dụ:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

use ieee.numeric_std.all;
Vậy là sai... Phải bỏ đi 2 dòng arith và unsigned
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
--use ieee.std_logic_unsigned.all;

use ieee.numeric_std.all;
----------------------------------------------------------------

















XEM THÊM...