Nhập từ khóa để tìm kiếm

Một số lỗi trong VHDL (phần mềm Quartus)



Error (10346): VHDL error at XXXXXXXXXX.vhd(24): formal port or parameter "SIZE" must have actual or default value
==> Chuyển đổi các kiểu dữ liệu bị thiếu đối số
Ví dụ: 
to_unsigned(30); là sai, phải viết là
to_unsigned(30, 5);

-----------------------------------------------------------------

Error (10621): VHDL Use Clause error at XXXXXXXXXX.vhd(19): more than one Use Clause imports a declaration of simple name "unsigned" -- none of the declarations are directly visible
==> Khai báo thư viện dư
Ví dụ:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

use ieee.numeric_std.all;
Vậy là sai... Phải bỏ đi 2 dòng arith và unsigned
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
--use ieee.std_logic_unsigned.all;

use ieee.numeric_std.all;
----------------------------------------------------------------

















Không có nhận xét nào:

Đăng nhận xét